新闻  |   论坛  |   博客  |   在线研讨会
FPGA开发板DIY过程(四)
mmqm | 2012-10-02 19:38:34    阅读:1895   发布文章

10.2

核心板上的FPGA芯片焊接是最难的工作,一定要仔细小心,如果是新手,建议找人代焊。对于北方干燥气候,还要特别注意防静电。

今日把核心板部分基本焊接完成。需要留意的就是有极性元件的安放,比如稳压二极管、发光二级管、钽电容。

用万用表检测是否有短路现象,然后上电。



目前核心板上只焊接了JTAG接口。

然后连接USB Blaster,驱动程序定位:
C:\altera\12.0sp2\quartus\drivers\usb-blaster

再打开Quartus II软件,确认下载线正确安装。



能够识别FPGA芯片,一切正常。实际使用的芯片是EP2C5Q208C8N。

为了完整测试,继续焊接接口板部分电路,包括LED和数码管。



编写一段流水灯程序,下载,工作正常。



视频:
http://v.qq.com/play/u0105pgpkoj.html




代码(Verilog HDL):

module demo(
    clk,
    rst,
    led
    );
 
 input  clk; //系统时钟输入,50MHz,0.02us
 input  rst; //系统复位输入
 
 output [7:0] led; //led输出
 reg    [7:0] led;
 
 reg    clk1s; //分频时钟               
 reg    [25:0] clk_cnt; //分频计数器

 


//系统时钟分频,产生1s的时钟
always @(posedge clk)
begin
    if(clk_cnt == 25'd25000000)
    begin
        clk1s = ~clk1s;
        clk_cnt = 0;
    end
    else
    begin
        clk_cnt = clk_cnt + 1'b1;
    end
end

//流水灯
always @(posedge clk1s or negedge rst)
begin
    if(rst == 0)
    begin
       led <= 8'b11111110;
    end
    else
    begin
        if(led == 8'b01111111) led <= 8'b11111110;
        else led <= ~(~led << 1);
    end
end

endmodule

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
推荐文章
最近访客